Intel and Nikon Lithography Experts Update on Enabling Production
Beyond 22 nm

At the recent LithoVision 2012 technical symposium, Shinji Wakamoto, Nikon System Design Section Manager, began his presentation entitled "Enabling Production Beyond 22 nm" by recounting that the three key scanner requirements include extreme accuracy, system extendibility, and maximized productivity. Wakamoto proceeded to discuss the latest generation Nikon NSR-S621D immersion and NSR-S320F dry ArF scanners, which are currently shipping to customers globally. He reported that that these latest evolutions of the proven Streamlign platform, which is already employed on S620D immersion systems, deliver marked accuracy enhancements through a number of hardware and software improvements.

Wakamoto reported that the S621D has demonstrated single machine m+3σ overlay of (1.6, 1.9 nm) across the lot and equivalent overlay performance has been achieved with the S320F as well. He also shared 3-wafer S320F (1st print) and S621D (2nd print) mix-and-match m+3σ overlay results of (4.7, 4.5 nm) achieved at the maximum scan speed, which satisfied design targets. Later in the week, at SPIE Advanced Lithography, Yusaku Uehara, Nikon System Designer, also showed S620D (1st print) to S621D (2nd print) matching results below 4.0 nm, satisfying the extreme overlay accuracy needed for applications beyond 22 nm.

Continuing the discussion of accuracy improvements, Wakamoto's presentation also highlighted rapidly shrinking process margins and the criticality of focus control/stability to satisfy the stringent CD uniformity requirements. He shared 12 nm (3σ) across wafer S621D and S320F phase shift focus monitor data, and reported focus stability was within a 10 nm range/week for both systems.


Figure 1. Uehara showed S620D to S621D matching results below 4.0 nm (left image). He reported that the DMAC k18 correction is also effective in compensating for non-linear distortions that can occur during lot exposure.

Scanner extendibility was also addressed in the Nikon LithoVision and SPIE presentations. Uehara imparted that Nikon developed the Dynamic Matching Adjustment Control (DMAC) system to enhance mix-and-match capabilities for overlay extension. He noted that in order to further optimize overlay, the DMAC k18 (c-shape distortion) correction capabilities are also effective in compensating for non-linear distortions that can occur during lot exposure as the reticle is heated. It was reported that the S320F and S621D provide additional extendibility with the Reticle Bending function that enables correction for lens field curvature and/or curvature differences between reticles in order to improve imaging performance. Uehara also provided an update on the Nikon CDU Master function, which he reported is now able to improve CD uniformity in only minutes. CDU Master compensates not only for CD errors in the scanner, but also enables the scanner to correct for other CDU affectors in the system, such as processing uniformity. Improvement of CD uniformity via CDU Master is considerable; Uehara’s sample data showed inter-field CDU improvements of 25%, with intra-field errors reduced by more than 70%. He commented that these results were achieved very quickly and satisfied the CDU requirements of the 22 nm half-pitch (hp) and beyond.

Maximizing productivity was a final element in these Nikon presentations focused on enabling 22 nm production and beyond. Wakamoto reported that immersion defectivity reduction was essential and announced that the new nozzle design used on the S621D had reduced immersion defects (large bridge, bubble, deformation, water marks, etc.) by 5x compared to the previous design. Uehara’s presentation also included an account of throughput improvements achieved through optimization of various scanner operation sequences. He revealed that the S320F has processed more than 210.9 wafers per hour (WPH) and the S621D immersion system has achieved over 200 WPH using 125 exposures/wafer conditions. When comparing 96 shot S320F data to previous generation S310F capabilities, he noted that throughput was improved by more than 60%. Uehara summarized his comprehensive presentation by concluding that the extreme accuracy, maximized productivity, and extendibility of the S621D immersion and S320F dry ArF scanners make them total solutions for the 22 nm hp and beyond.


Figure 2. CDU Master data has yielded inter-field CDU improvements of 25%, with intra-field errors reduced by more than 70% (left image). Uehara summarized that the S621D and S320F scanners are total solutions for the 22 nm hp and beyond.

Speaking also at LithoVision in a complementary presentation, Sam Sivakumar, Intel Corporation Fellow and Director of Lithography, reported that the patterning challenges for 22 nm and beyond include aberrations, defectivity, overlay, CDU, and focus control. Sivakumar cautioned that control of edge placement errors (EPE) will be of crucial importance and that multi-layer overlay will be one of the primary challenges beyond 22 nm. He emphasized that multi-layer overlay is inevitable and overlay performance of ArF wet and dry scanners needs to improve regardless of the exposure method used—be it a grating strategy using ArF single patterning, ArF pitch doubling, and EUV single patterning; or a cuts and vias strategy with EUV single patterning, ArF multi-patterning, and ArF dry lithography.


Figure 3. Sivakumar reported that managing multi-layer overlay will be one of the primary challenges beyond 22 nm (left image). He warned this is inevitable, and necessitates ArF wet and dry scanner improvements.

Sivakumar then reported that S62x system immersion defectivity is behaving well compared to the baseline. He explained that excellent single machine overlay will enable continued overlay scaling, and noted that very good mix/match performance (S62x-S62x as well as S62x-Competitor system) should help alleviate the multi-layer overlay challenges.


Figure 4. Sivakumar explained that excellent single machine overlay will enable continued overlay scaling (left image), and noted that very good mix/match performance should help alleviate multi-layer overlay challenges.

Sivakumar summarized his insightful presentation explaining that lithography beyond 22 nm will involve use of EUV, ArF pitch division and pervasive multiple-patterning layers. He noted that technical readiness and cost of ownership considerations will dictate the final node-specific choice, and that complementary lithography may be a way to make use of all available approaches to determine the most cost-effective and best technical solution for patterning. He also reminded the audience that management of EPE in multi-patterning scenarios will be crucial for achieving scaling goals, and that technical evolution of both immersion and dry scanners is essential.

In conclusion, Sivakumar announced that the Nikon S621 (immersion) and S320 (dry) platforms have been shown to deliver end-user performance consistent with these technology requirements.