The Nikon eReview

The Nikon eReviewSpring 2018

  • Home
  • Featured
  • Innovations & Enhancements
  • News
  • Nikon Happenings
  • Past Editions

Nikon Experts Introduce NSR-S635E and iAS/LithoBooster Innovations at LithoVision and SPIE

It is well understood that logic devices push the leading-edge device scaling requirements due to their many critical layers and complex multi-patterning process steps. Edge Placement Error (EPE) is the main challenge, and the key requirement for 193 nm immersion extension is extremely tight on-product overlay (OPO) control. In the case of EUV, the EPE budget is further consumed by fundamental stochastic (resist) effects.

In addition to scanner contributors, a major component of the OPO error budget is process-induced wafer grid errors that are due to the large number of process layers and intricate structures used in multiple patterning. There are many sources of these errors (Figure 1A), and they often have higher order spatial frequency and fluctuate from wafer to wafer, which degrades OPO. Historically, the simplest way to correct these errors has been by increasing the number of alignment sites used by the litho tool prior to wafer exposure, but this solution potentially impacts throughput. Additionally, although off-line wafer measurement and existing wafer distortion correction methods can help reduce these errors, they often involve complicated procedures and are not sufficient to meet the most demanding OPO specifications.

2018 - Innovations (1)
2018 - Innovations (2)

Figure 1A. In addition to scanner factors, there are a multitude of process-related contributors to OPO (left image). Figure 1B. Nikon introduced the NSR-S635E immersion scanner to satisfy 5 nm node requirements and beyond.

Nikon has been aggressively developing new technologies targeting both scanner and process-related OPO error factors. At the LithoVision and SPIE Advanced Lithography 2018 conferences [1, 2], Nikon technologists introduced many innovations of the industry-leading NSR-S635E immersion scanner (Figure 1B), which was developed to satisfy OPO requirements for 5 nm node applications and beyond. The NSR-S635E can process up to 275 wafers per hour using a scan speed of 900 mm/sec and a 120 watt laser; while enhancements to the scanner’s stage controller and metrology system enable superior imaging and overlay accuracy. In addition, expanded temperature control capabilities and optimized component materials ensure optimal tool overlay stability, even under the most extreme production conditions.

The S635E has demonstrated world-class single machine overlay (SMO) below 1 nm across a single lot in precision mode, as well as five lot data below 1.4 nm (Avg. +3σ) in standard mode (Figure 2A). In addition, the S635E provides exceptional focus control with a best mean focus range of 4.4 nm and across wafer uniformity of 7.3 nm (3σ) across a one week period using phase shift focus monitor (PSFM) (Figure 2B).

2018 - Innovations (3)
2018 - Innovations (4)

Figure 2A. The S635E has demonstrated world-class SMO below 1 nm across a single lot in precision mode, as well as five lot data below 1.4 nm (Avg.+3σ) in standard mode (left image). Figure 2B. The S635E provides exceptional focus control and stability as well.

Like the previous generation NSR-S631E scanner, the newly developed S635E can also be coupled with the pioneering inline alignment station (iAS) to further enhance scanner performance and productivity. The iAS is integrated between the scanner and the coater/developer track, and provides feed forward corrections for every wafer using extremely fast, ultra-precise measurement capabilities (Figure 3A). The scanner delivers comprehensive alignment corrections by combining its own linear corrections obtained through sparse sampling, with higher order corrections obtained through dense iAS sampling.

2018 - Innovations (5)
2018 - Innovations (6)

Figure 3A. iAS is integrated between the scanner and the coater/developer track, and provides feed forward correction for every wafer (left image). Figure 3B. The iAS system uses a state-of-the art alignment system to optimize underlayer metrology capabilities.

The iAS system uses a state-of-the-art iFIA alignment microscope that has a high numerical aperture (NA) objective and variable NA settings, as well as a wide range of light sources with high power, broad band, and flexible wavelength settings (Figure 3B) to optimize underlayer metrology capabilities. These features allow measurement of not only standard scanner alignment marks, but also overlay metrology marks including AIM marks, as well as smaller and narrower mark designs. In addition, the iAS wafer stage was specially developed to minimize thermal expansion and vibration effects, and other design improvements were implemented to reduce local coordinate errors that impact overlay. Logic device OPO data has shown a 37-45% reduction in wafer-to-wafer shot and grid fluctuations by incorporating iAS using AIM marks for alignment (Figure 4) and feeding those corrections forward to the scanner for exposure, as compared to using scanner alignment corrections alone.

2018 - Innovations (7)

Figure 4. OPO data has shown a 37-45% reduction in wafer-to-wafer shot and grid fluctuations by incorporating iAS feed forward corrections.

iAS capabilities can be extended to other scanners in the fab with the LithoBooster Standalone Alignment Station. LithoBooster also utilizes a high-accuracy imaging microscope and ultra-fast wafer stage to deliver extremely precise mark measurements without impacting scanner productivity. LithoBooster provides an efficient and cost-effective solution enabling detailed measurement of wafer errors after various process steps to provide comprehensive feed forward corrections to the scanner to enhance on-product overlay.

LithoBooster has great flexibility within the process control loop, and can be used with many generations of Nikon systems including S631E through S620D immersion scanners, S322F to S310F ArF scanners, S220D and S210D KrF scanners, and even SF155 steppers; as well as non-Nikon scanners (Figure 5A). Depending on individual device manufacturer’s objectives, one LithoBooster system may be shared amongst multiple litho tools for less critical layers, or paired with a litho tool in critical applications, or multiple LithoBoosters could support a single litho tool to enable super-dense sampling for ultra-critical process layers (Figure 5B).

2018 - Innovations (8)
2018 - Innovations (9)

Figure 5A. LithoBooster has great flexibility within the process control loop, and can be used with many generations of Nikon systems, as well as non-Nikon scanners (left image). Figure 5B. iAS and LithoBooster can be used in many different configurations to meet particular fab/process layer requirements.

Whereas traditional process loops rely on feed back control, iAS and LithoBooster add sophisticated feed forward correction capabilities (Figure 6A). LithoBooster quickly executes super dense, ultra-precise measurements with superior reliability, and calculates high order and die-by-die grid term as well as shot term corrections. Prior to exposure, the scanner performs wafer global alignment using a sparse EGA sampling plan, and the high order LithoBooster correction terms are fed forward and combined with the scanner’s linear terms to produce the final linear, high order grid, and shot term alignment corrections.

LithoBooster has demonstrated exceptional OPO improvement capabilities. A study of wafers having four types of on-product underlayer wafer distortion signatures was evaluated using a traditional, sparse, 16 points/wafer alignment sampling plan, which demonstrated overlay Avg. + 3σ results across the lot of x=2.85 and y=2.51 nm. The results were markedly improved to x=1.85 and y=1.63 nm using a dense 626 point LithoBooster sampling plan (Figure 6B).

2018-Innovations-OverlayProcessReplacementImage
2018 - Innovations (11)

Figure 6A. Whereas traditional process loops rely on feed back control, iAS and LithoBooster add sophisticated feed forward correction capabilities (left image). Figure 6B. LithoBooster delivers substantial improvements in OPO with dense, optimized feed forward sampling and corrections.

LithoBooster was specifically designed to be an open solutions platform to provide expanded overlay, autofocus, and process control capabilities. Nikon is currently enhancing LithoBooster OPO correction capabilities through the introduction of new functions enabling detection and correction of process-induced target asymmetries, while metrology capabilities are being extended to include high density film thickness measurements to support predictive/computational focus corrections as well.

Immersion lithography and multiple patterning play pivotal roles in current and future generations of IC manufacturing. The NSR-S635E immersion scanner, as well as the iAS and LithoBooster alignment stations, enable chipmakers to achieve their fabs’ increasingly aggressive on-product overlay and productivity requirements.

[1] Hikida, Y. et al. “Enhancement of ArF immersion scanner system for advanced device node manufacturing.” Proceedings of SPIE Advanced Lithography 2018, 10587-34.

[2] Yahiro. T. et al. “Feed forward alignment correction for advanced overlay process control using a standalone alignment station LithoBooster.” Proceedings of SPIE Advanced Lithography 2018, 10585-103.

Spring 2018 Edition

Featured
  • KLA-Tencor Research Scientist Emphasizes Stochastic Challenges at LithoVision 2018
Innovations & Enhancements
  • Nikon Experts Introduce NSR-S635E and iAS/LithoBooster Innovations at LithoVision and SPIE
News
  • IC Knowledge President Shares View of Semiconductor Landscape at Nikon Symposium
  • Intel Principal Engineer Highlights Overlay and Underlay Control Challenges in 193i Scaling
  • Seagate Technology Expert Details HAMR Process and Hard Disk Drive Litho Requirements
  • Applied Materials Executive Stresses Criticality of Materials-Enabled Solutions for EPE
  • The Latest Lithography Solutions for Advanced MEMS, LED, and Packaging Applications
Nikon Happenings
  • Nikon Precision Exhibiting at SEMICON West – July 10-12, 2018
  • Director of Nikon Research Corporation to Present at 25th Lithography Workshop – June 17-21, 2018
  • Nikon Corporation Recognized by Intel as a 2017 Achievement Award Winner for Technology
  • Nikon Implementing New Initiatives to Expand Customer Support Capabilities
  • Nikon is Committed to Women in Engineering and Next-Generation Engineering Initiatives
  • LithoVision Achieves 15 Year Milestone Event

© Copyright 2019 Nikon Precision Inc. · All Rights Reserved ·
Visit www.nikonprecision.com | Privacy Policy