The Nikon eReview

The Nikon eReviewSpring 2018

  • Home
  • Featured
  • Innovations & Enhancements
  • News
  • Nikon Happenings
  • Past Editions

GLOBALFOUNDRIES Lithography Expert Delivers Keynote at LithoVision

At the 2015 LithoVision symposium Dr. Harry Levinson, Sr. Fellow and Sr. Director of GLOBALFOUNDRIES’ Technology Research organization, provided an in-depth view of the Status of Lithography. Dr. Levinson highlighted that ArF immersion was the lithography solution for 45, 32, and subsequent nodes all the way to 10 nm, which he compared to a 1998 SEMATECH NGL Program Overview that predicted there would be Next Generation Lithography (NGL) pilot tools by 2003 and production systems by 2005 (Figure 1A). Dr. Levinson’s presentation then delved into discussion of options for the 7 nm node (Figure 1B).

2015-News1 (1)2015-News1 (2)
Figure 1A. A 1998 SEMATECH NGL Program Overview predicted there would be NGL pilot tools by 2003 and production systems by 2005 (left image). Figure 1B. Dr. Levinson’s presentation discussed options for the 7 nm node.

He explained that optical lithography has been extended over the course of several nodes through lithography-design co-optimization and double patterning without a significant increase in resolution. As an example, he described how lithography-design co-optimization for an M1 layer reduced jogs, provided a more regular M1 pitch, and increased target CD, which together allowed the M1 minimum pitch to be increased from 0.8× the poly layer pitch to equaling the poly layer pitch (Figure 2).

2015-News1 (3)ereview-img-spacer
Figure 2. Levinson described lithography-design co-optimization for an M1 layer.

Optical lithography can be further extended with self-aligned quadruple patterning (SAQP), and a process starting with an original core pattern on a 120 nm pitch was shown (Figure 3A). However, Levinson cautioned that in his example a 9% CD variation in the original core pattern could induce a 27% CD variation along with multiple pitches in the final pattern (Figure 3B), and edge placement error will also be impacted. As a result, SAQP will challenge on-product CD and overlay control with requirements of about 3-4 nm (3σ). Levinson noted that metrology will also be more complicated, since a single dimensional error in that core pattern example can result in three different CDs (β, γ, and α in figure below) in the final pattern.

2015-News1 (4)2015-News1 (5)
Figure 3A. Optical litho can be further extended with SAQP, and a process starting with an original core pattern on a 120 nm pitch was shown (left image). Figure 3B. In Levinson’s example a 9% CD variation in the original core pattern could induce a 27% CD variation along with multiple pitches in the final pattern.

Levinson explained that directed self-assembly (DSA) can fix some of these problems since it uses self-controlled molecular assembly to control the critical dimensions, showing 28 nm line/space DSA SEM images as an example. However, although the overlay and CD uniformity challenges for cutting lines are relaxed, they are still challenging, as are the DSA layouts. Levinson warned that it is difficult to produce multiple pitches with a single DSA process. In the contact-hole example shown here, he described the DSA forbidden pitch, where it’s not possible to create two holes with one confinement well. He noted that optical resolution also limits two holes with two confinement wells, and highlighted the necessary “DSA Target Keep-Away Distance” (Figure 4A).

Since further scaling with optical lithography necessitates multiple patterning even with DSA, higher resolution single patterning solutions remain attractive conceptually. Therefore, EUV continues to be pursued as optical lithography’s successor. Levinson commented that this still has challenges. The first one is, according to Levinson, the relation of litho dose with EUV source power is a major issue. While lithographers have hoped for doses around 20 mJ/cm2 or so, he showed that values are expected to be from 30 to 60 mJ/cm2. For cost equivalence with 193i double or even triple patterning, source powers need to be in the 300 to 500 W range, compared with current values of about 80 W.

Levinson also looked at the scaling requirements for the next nodes. For logic processes at the 7 nm node, in order to maintain 0.65× scaling, the interconnect pitch would be ~ 22 nm. At a k1 factor of 0.27, this would require high-NA EUV lenses or EUV double patterning. Single-patterning EUV lithography at the 7 nm node could only be done if it can be accomplished at k1=0.32, (challenging because of aberrations and flare), and if the EUV costs can also be reduced to make 0.7× linear scaling work for die cost. The other option would be to use high-NA EUV lithography, if it can be enabled cost-effectively. Levinson mentioned briefly other complexities with transistors and electrical resistance, and concluded that all the options remain challenging for the future.

2015-News1 (6)2015-News1 (7)
Figure 4A. Levinson described the DSA forbidden pitch, where it’s not possible to create two holes with one confinement well (left image). Figure 4B. He reported that further optical litho extension is likely, although there will be heightened challenges in overlay, CD control and defectivity.

Levinson summarized that optical immersion lithography at the 1.3/1.35 numerical aperture has been used for 32 through 10 nm nodes, and further extension is likely, although there will be heightened challenges in overlay, CD control, and defectivity (Figure 4B). He reminded the audience that DSA is showing promise, but significant advances are required—especially in lithography-design co-optimization. Then, regardless of EUV’s resolution capabilities, its cost challenges will continue as high-NA or EUV double patterning are likely to be required. Levinson closed his insightful presentation announcing that “Extension of the roadmap to smaller feature sizes is by no means limited by lithography only.”

Spring 2018 Edition

Featured
  • KLA-Tencor Research Scientist Emphasizes Stochastic Challenges at LithoVision 2018
Innovations & Enhancements
  • Nikon Experts Introduce NSR-S635E and iAS/LithoBooster Innovations at LithoVision and SPIE
News
  • IC Knowledge President Shares View of Semiconductor Landscape at Nikon Symposium
  • Intel Principal Engineer Highlights Overlay and Underlay Control Challenges in 193i Scaling
  • Seagate Technology Expert Details HAMR Process and Hard Disk Drive Litho Requirements
  • Applied Materials Executive Stresses Criticality of Materials-Enabled Solutions for EPE
  • The Latest Lithography Solutions for Advanced MEMS, LED, and Packaging Applications
Nikon Happenings
  • Nikon Precision Exhibiting at SEMICON West – July 10-12, 2018
  • Director of Nikon Research Corporation to Present at 25th Lithography Workshop – June 17-21, 2018
  • Nikon Corporation Recognized by Intel as a 2017 Achievement Award Winner for Technology
  • Nikon Implementing New Initiatives to Expand Customer Support Capabilities
  • Nikon is Committed to Women in Engineering and Next-Generation Engineering Initiatives
  • LithoVision Achieves 15 Year Milestone Event

© Copyright 2019 Nikon Precision Inc. · All Rights Reserved ·
Visit www.nikonprecision.com | Privacy Policy